Quartus

From CVL Wiki

Revision as of 17:07, 15 November 2013 by Jkh (Talk | contribs)

(diff) ← Older revision | Latest revision (diff) | Newer revision → (diff)
Jump to: navigation, search

Altera's Quartus II software is an FPGA development package for the Altera line of FPGAs.

http://en.wikipedia.org/wiki/Altera

This documentation is how to get Quartus to work on Linux.

After installation it is somewhat difficult to get the "USBBlaster" software to work. The USBBlaster is the software that downloads the FPGA netlist image to the development board.

To get the USB hotplug system to work you need to add a "udev" rule. http://en.wikipedia.org/wiki/Udev For older versions of linux the Altera web site documents them, see: http://www.altera.com/download/drivers/dri-usb_b-lnx.html . For newer versions of linux, one (only one) of the following seems to work

File: /etc/udev/rules.d/51-usbblaster.rules

# USB-Blaster
SUBSYSTEM=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6001", MODE="0666", SYMLINK+="usbblaster"
SUBSYSTEM=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6002", MODE="0666", SYMLINK+="usbblaster"
SUBSYSTEM=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6003", MODE="0666", SYMLINK+="usbblaster"
# USB-Blaster
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6001", MODE="0666"
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6002", MODE="0666"
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6003", MODE="0666"
# USB-Blaster
ACTION!="add", SUBSYSTEM!=="usb_device", GOTO="qcontrol_rules_end"
ATTRS{idProduct}=="6001", ATTRS{idVendor}=="09fb", MODE="666", SYMLINK+="usbblaster"
ATTRS{idProduct}=="6002", ATTRS{idVendor}=="09fb", MODE="666", SYMLINK+="usbblaster"
ATTRS{idProduct}=="6003", ATTRS{idVendor}=="09fb", MODE="666", SYMLINK+="usbblaster"
LABEL="qcontrol_rules_end"

Now restart the udev system. On different systems, its done in different ways.

sudo service udev restart

or perhaps:

sudo service systemd-udevd restart

or if all else fails, just use the windows final solution, reboot.

You should check your log files for udev errors. Look in /var/log/messages or /var/log/syslog depending on your linux distrobution (redhat/debian/ubuntu).

Now to verify things are working, we follow this: http://www.alterawiki.com/wiki/USB-Blaster_under_Fedora_18

cd <alter_installation>/<install_version>/quartus/bin
./jtagd
./jtagconfig

You should see something like

jkh@jkh:~/altera/13.0sp1/quartus/bin$ ./jtagconfig 
1) USB-Blaster [5-1]
  020B60DD   EP2C70
Views
Personal tools
Support